1990 …2024

Research activity per year

Filter
Conference contribution

Search results

  • 2013

    Deep trench capacitor based step-up and step-down DC/DC converters in 32nm SOI with opportunistic current borrowing and fast DVFS capabilities

    Paul, A., Jiao, D., Sapatnekar, S. S. & Kim, C. H., Dec 1 2013, Proceedings of the 2013 IEEE Asian Solid-State Circuits Conference, A-SSCC 2013. p. 49-52 4 p. 6690979. (Proceedings of the 2013 IEEE Asian Solid-State Circuits Conference, A-SSCC 2013).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    11 Scopus citations
  • Placement optimization of power supply pads based on locality

    Zhou, P., Mishra, V. & Sapatnekar, S. S., 2013, Proceedings - Design, Automation and Test in Europe, DATE 2013. Institute of Electrical and Electronics Engineers Inc., p. 1655-1660 6 p. 6513781. (Proceedings -Design, Automation and Test in Europe, DATE).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    3 Scopus citations
  • The impact of electromigration in copper interconnects on power grid integrity

    Mishra, V. & Sapatnekar, S. S., 2013, Proceedings of the 50th Annual Design Automation Conference, DAC 2013. 88. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    44 Scopus citations
  • The impact of shallow trench isolation effects on circuit performance

    Marella, S. K. & Sapatnekar, S. S., 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2013 - Digest of Technical Papers. p. 289-294 6 p. 6691134. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    10 Scopus citations
  • What happens when circuits grow old: Aging issues in CMOS design

    Sapatnekar, S. S., 2013, 2013 International Symposium on VLSI Technology, Systems and Application, VLSI-TSA 2013. 6545621. (2013 International Symposium on VLSI Technology, Systems and Application, VLSI-TSA 2013).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    15 Scopus citations
  • What happens when circuits grow old: Aging issues in CMOS design

    Sapatnekar, S. S., 2013, 2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013. 6533827. (2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    6 Scopus citations
  • 2012

    BTI-aware design using variable latency units

    Gupta, S. & Sapatnekar, S. S., 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 775-780 6 p. 6165059. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    8 Scopus citations
  • Energy-efficient non-minimal path on-chip interconnection network for heterogeneous systems

    Yin, J., Zhou, P., Holey, A., Sapatnekar, S. S. & Zhai, A., 2012, ISLPED'12 - Proceedings of the International Symposium on Low Power Electronics and Design. p. 57-62 6 p. (Proceedings of the International Symposium on Low Power Electronics and Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    18 Scopus citations
  • GLARE: Global and local wiring aware routability evaluation

    Wei, Y., Sze, C., Viswanathan, N., Li, Z., Alpert, C. J., Reddy, L., Huber, A. D., Tellez, G. E., Keller, D. & Sapatnekar, S. S., 2012, Proceedings of the 49th Annual Design Automation Conference, DAC '12. p. 768-773 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    68 Scopus citations
  • GNOMO: Greater-than-NOMinal V dd operation for BTI mitigation

    Gupta, S. & Sapatnekar, S. S., 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 271-276 6 p. 6164957. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    18 Scopus citations
  • Incremental power network analysis using backward random walks

    Boghrati, B. & Sapatnekar, S. S., Apr 26 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 41-46 6 p. 6164983. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    8 Scopus citations
  • Residential task scheduling under dynamic pricing using the multiple knapsack method

    Kumaraguruparan, N., Sivaramakrishnan, H. & Sapatnekar, S. S., 2012, 2012 IEEE PES Innovative Smart Grid Technologies, ISGT 2012. 6175656. (2012 IEEE PES Innovative Smart Grid Technologies, ISGT 2012).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    52 Scopus citations
  • Staggered core activation: A circuit/architectural approach for mitigating resonant supply noise issues in multi-core multi-power domain processors

    Paul, A., Amrein, M., Gupta, S., Vinod, A., Arun, A., Sapatnekar, S. S. & Kim, C. H., Nov 26 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012. 6330673. (Proceedings of the Custom Integrated Circuits Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    5 Scopus citations
  • The impact of hot carriers on timing in large circuits

    Fang, J. & Sapatnekar, S. S., 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 591-596 6 p. 6165025. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    8 Scopus citations
  • Understanding the impact of transistor-level BTI variability

    Fang, J. & Sapatnekar, S. S., 2012, 2012 IEEE International Reliability Physics Symposium, IRPS 2012. p. CR.2.1-CR.2.6 6241887. (IEEE International Reliability Physics Symposium Proceedings).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    16 Scopus citations
  • 2011

    Accounting for inherent circuit resilience and process variations in analyzing gate oxide reliability

    Fang, J. & Sapatnekar, S. S., 2011, 2011 16th Asia and South Pacific Design Automation Conference, ASP-DAC 2011. p. 689-694 6 p. 5722275. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    9 Scopus citations
  • A scaled random walk solver for fast power grid analysis

    Boghrati, B. & Sapatnekar, S. S., May 31 2011, Proceedings - Design, Automation and Test in Europe Conference and Exhibition, DATE 2011. p. 38-43 6 p. 5763013. (Proceedings -Design, Automation and Test in Europe, DATE).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • Enabling improved power management in multicore processors through clustered DVFS

    Kolpe, T., Zhai, A. & Sapatnekar, S. S., 2011, Proceedings - Design, Automation and Test in Europe Conference and Exhibition, DATE 2011. p. 293-298 6 p. 5763052. (Proceedings -Design, Automation and Test in Europe, DATE).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    68 Scopus citations
  • Exploration of on-chip switched-capacitor DC-DC converter for multicore processors using a distributed power delivery network

    Zhou, P., Jiao, D., Kim, C. H. & Sapatnekar, S. S., 2011, 2011 IEEE Custom Integrated Circuits Conference, CICC 2011. 6055333. (Proceedings of the Custom Integrated Circuits Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    24 Scopus citations
  • NoC frequency scaling with flexible-pipeline routers

    Zhou, P., Yin, J., Zhai, A. B. & Sapatnekar, S. S., 2011, IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2011. p. 403-408 6 p. 5993674. (Proceedings of the International Symposium on Low Power Electronics and Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    15 Scopus citations
  • Thermal signature: A simple yet accurate thermal index for floorplan optimization

    Kung, J., Han, I., Sapatnekar, S. & Shin, Y., 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference, DAC 2011. p. 108-113 6 p. 5981925. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    16 Scopus citations
  • 2010

    Application-specific 3D network-on-chip design using simulated allocation

    Zhou, P., Yuh, P. H. & Sapatnekar, S. S., 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 517-522 6 p. 5419830. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    32 Scopus citations
  • Current source modeling in the presence of body bias

    Gupta, S. & Sapatnekar, S. S., Apr 28 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 199-204 6 p. 5419896. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    4 Scopus citations
  • Dummy fill optimization for enhanced manufacturability

    Wei, Y. & Sapatnekar, S. S., 2010, ISPD'10 - Proceedings of the 2010 ACM International Symposium on Physical Design. p. 97-104 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • Fast poisson solvers for thermal analysis

    Qian, H. & Sapatnekar, S. S., 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010. Institute of Electrical and Electronics Engineers Inc., p. 698-702 5 p. 5654249. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    10 Scopus citations
  • Incremental solution of power grids using random walks

    Boghrati, B. & Sapatnekar, S., 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 757-762 6 p. 5419787. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    10 Scopus citations
  • Physical design techniques for optimizing RTA-induced variations

    Wei, Y., Hu, J., Liu, F. & Sapatnekar, S. S., 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 745-750 6 p. 5419789. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    5 Scopus citations
  • Scalable methods for the analysis and optimization of gate oxide breakdown

    Fang, J. & Sapatnekar, S. S., May 28 2010, Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010. p. 638-645 8 p. 5450507. (Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    19 Scopus citations
  • 2009

    Adaptive techniques for overcoming performance degradation due to aging in digital circuits

    Kumar, S. V., Kim, C. H. & Sapatnekar, S. S., Apr 20 2009, Proceedings of the ASP-DAC 2009: Asia and South Pacific Design Automation Conference 2009. p. 284-289 6 p. 4796494

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    74 Scopus citations
  • Addressing thermal and power delivery bottlenecks in 3D circuits

    Sapatnekar, S. S., Apr 20 2009, Proceedings of the ASP-DAC 2009: Asia and South Pacific Design Automation Conference 2009. p. 423-428 6 p. 4796518. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    37 Scopus citations
  • Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors

    Zhou, P., Sridharan, K. & Sapatnekar, S. S., 2009, Proceedings of the ASP-DAC 2009: Asia and South Pacific Design Automation Conference 2009. p. 179-184 6 p. 4796477. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    34 Scopus citations
  • Synthesizing a representative critical path for post-silicon delay prediction

    Liu, Q. & Sapatnekar, S. S., 2009, Proceedings of the 2009 International Symposium on Physical Design, ISPD'09. p. 183-190 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    32 Scopus citations
  • Temperature as a first-class citizen in chip design

    Sapatnekar, S. S., Dec 16 2009, 15th International Workshop on Thermal Investigations of ICs and Systems, THERMINIC 2009. 1 p. 5340041

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • 2008

    A framework for block-based timing sensitivity analysis

    Kumar, S. V., Kashyap, C. V. & Sapatnekar, S. S., Sep 17 2008, Proceedings of the 45th Design Automation Conference, DAC. p. 688-693 6 p. 4555907. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    17 Scopus citations
  • A progressive-ILP based routing algorithm for cross-referencing biochips

    Yuh, P. H., Sapatnekar, S., Yang, C. L. & Chang, Y. W., 2008, Proceedings of the 45th Design Automation Conference, DAC. p. 284-289 6 p. 4555824. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    70 Scopus citations
  • 2007

    A general model for performance optimization of sequential systems

    Bufistov, D., Cortadella, J., Kishinevsky, M. & Sapatnekar, S., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 362-369 8 p. 4397291. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    18 Scopus citations
  • Clustering based pruning for statistical criticality computation under process variations

    Mogal, H. D., Haifeng, Q., Sapatnekar, S. S. & Bazargan, K., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 340-343 4 p. 4397287. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    14 Scopus citations
  • Computer-aided design of 3d integrated circuits

    Sapatnekar, S. S., 2007, GLSVLSI'07: Proceedings of the 2007 ACM Great Lakes Symposium on VLSI. p. 317 1 p. 1228788. (Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Confidence scalable post-silicon statistical delay prediction under process variations

    Qunzeng, L. & Sapatnekar, S. S., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 497-502 6 p. 4261235. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    20 Scopus citations
  • DAG based library-free technology mapping

    Marques, F. S., Rosa, L. S., Ribas, R. P., Sapatnekar, S. S. & Reis, A. I., 2007, GLSVLSI'07: Proceedings of the 2007 ACM Great Lakes Symposium on VLSI. p. 293-298 6 p. 1228857. (Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    26 Scopus citations
  • Module assignment for pin-limited designs under the stacked-Vdd paradigm

    Yong, Z., Tianpei, Z. & Sapatnekar, S. S., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 656-659 4 p. 4397340. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    10 Scopus citations
  • NBTI-aware synthesis of digital circuits

    Kumar, S. V., Kim, C. H. & Sapatnekar, S. S., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 370-375 6 p. 4261208. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    166 Scopus citations
  • Placement of 3D ICs with thermal and interlayer via considerations

    Goplen, B. & Sapatnekar, S., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 626-631 6 p. 4261258. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    96 Scopus citations
  • Probabilistic congestion prediction with partial blockages

    Li, Z., Alpert, C. J., Quay, S. T., Sapatnekar, S. & Shi, W., 2007, Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007. p. 841-846 6 p. 4149138. (Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    6 Scopus citations
  • Width-dependent statistical leakage modeling for random dopant induced threshold voltage shift

    Gu, J., Sapatnekar, S. S. & Kim, C., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 87-92 6 p. 4261149. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    13 Scopus citations
  • 2006

    A fixed-die floorplanning algorithm using an analytical approach

    Zhan, Y., Feng, Y. & Sapatnekar, S. S., 2006, Proceedings of the ASP-DAC 2006: Asia and South Pacific Design Automation Conference 2006. Institute of Electrical and Electronics Engineers Inc., p. 771-776 6 p. 1594779. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    29 Scopus citations
  • An analytical model for negative bias temperature instability

    Kumar, S. V., Kim, C. H. & Sapatnekar, S. S., 2006, Proceedings of the 2006 International Conference on Computer-Aided Design, ICCAD. p. 493-496 4 p. 4110220. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    184 Scopus citations
  • Comparing simulation techniques for microarchitecture-aware floorplanning

    Nookala, V., Chen, Y., Lilja, D. J. & Sapatnekar, S. S., Nov 14 2006, ISPASS 2006: IEEE International Symposium on Performance Analysis of Systems and Software, 2006. Vol. 2006. p. 80-88 9 p. 1620792

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • Electrothermal analysis and optimization techniques for nanoscale integrated circuits

    Zhan, Y., Goplen, B. & Sapatnekar, S. S., 2006, Proceedings of the ASP-DAC 2006: Asia and South Pacific Design Automation Conference 2006. Institute of Electrical and Electronics Engineers Inc., p. 219-222 4 p. 1594685. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    25 Scopus citations
  • Fast disjoint transistor networks from BDDs

    Da Rosa, L. S., Marques, F. S., Cardoso, T. M. G., Ribas, R. P., Sapatnekar, S. S. & Reis, A. I., Nov 16 2006, Proceedings SBCCI 2006 - 19th Symposium on Integrated Circuits and Systems Design. p. 137-142 6 p. (SBCCI 2006 - 19th Symposium on Integrated Circuits and Systems Design; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    12 Scopus citations